Tuesday, July 2, 2013

FULL ADDER IN XILINX ISE 9.1i




-- Company:
-- Engineer:
--
-- Create Date:    15:39:58 03/02/2013
-- Design Name:
-- Module Name:    ANIFA - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ANIFA is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           C : in  STD_LOGIC;
           S : out  STD_LOGIC;
           Co : out  STD_LOGIC);
end ANIFA;
architecture Behavioral of ANIFA is
begin
S <= (A XOR B) XOR C;
Co <= (A AND B) OR (C AND (A XOR B));
end Behavioral;

No comments:

Post a Comment