Tuesday, July 2, 2013

HALF ADDER IN XILINX ISE 9.1i

-- Company:
-- Engineer:
--
-- Create Date:    16:30:51 02/23/2013
-- Design Name:
-- Module Name:    ANI_HA - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ANI_HA is
    Port ( A : in  STD_LOGIC;
           B : in  STD_LOGIC;
           C : out  STD_LOGIC;
           S : out  STD_LOGIC);
end ANI_HA;
architecture Behavioral of ANI_HA is
begin
S <= A OR B;
C <= A AND B;
end Behavioral;

No comments:

Post a Comment