Tuesday, July 2, 2013

FULL SUBTRACTOR IN XILINX ISE 9.1i

-- Company:
-- Engineer:
--
-- Create Date:    14:52:14 04/06/2013
-- Design Name:
-- Module Name:    ANIFS - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ANIFS is
    Port ( A : in  STD_LOGIC;
           Bin : in  STD_LOGIC;
           C : in  STD_LOGIC;
           D : out  STD_LOGIC;
           Bout : out  STD_LOGIC);
end ANIFS;
architecture Behavioral of ANIFS is
begin
D <= A XOR (Bin XOR C);
Bout <= (((NOT A) AND (Bin XOR C)) OR (Bin AND C));
end Behavioral;

No comments:

Post a Comment